> 语文 >
VHDL中D_BUS'1',1=>'0',OTHERS=>'0')是什么意思?
请给出详细解释,解释明白可以追加分哦
请说明'=>'指什么,在VHDL中的用法
人气:219 ℃ 时间:2020-05-19 11:50:23
解答
它的意思就是D_BUS中的第0位赋‘1’ 第1位赋‘0’ 其他位都是0
即D_BUS(0)='0' D_BUS('1')=1 D_BUS("OTHERS")=0
以上那句还可以写成D_BUS(0=>'1',OTHERS='0')
推荐
猜你喜欢
© 2024 79432.Com All Rights Reserved.
电脑版|手机版