> 其他 >
怎样用74ls161设计一个24进制的计数器
人气:266 ℃ 时间:2020-02-10 17:07:25
解答
LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count24 ISPORT(en,clk: INSTD_LOGIC;co: OUT STD_LOGIC;clr_l :IN STD_LOGIC; --清零端...
推荐
猜你喜欢
© 2024 79432.Com All Rights Reserved.
电脑版|手机版